External Memory Interfaces (EMIF) IP User Guide: Agilex™ 5 FPGAs and SoCs

ID 817467
Date 4/01/2024
Public
Document Table of Contents

5.1.4. Simulating the Design Example

This topic describes how to simulate the design example in Synopsys* , and Siemens EDA simulators.

To run a simulation, navigate to the simulation directory <example_design_directory>/sim/ed_sim/ and run the simulation script of your choice.

For ModelSim* SE and Siemens* EDA QuestaSim*- Intel FPGA Edition Simulators

  1. At the command prompt, change the working directory to the following:
    <example_design_directory>/sim/ed_sim/mentor
  2. Invoke vsim by typing:
    vsim
    The system launches a terminal window where you can run the commands described in the following steps.
  3. Run the following command in the terminal window:
    source msim_setup.tcl
  4. Run the following command in the terminal window:
    ld_debug
  5. To select a signal to observe, right-click and select Add Wave from the context menu.
  6. To run the simulation, type:
    run -all

Upon successful completion, the simulation displays the following message:

Simulation stopped due to successful completion!

For VCS Simulator

At the command prompt, change the working directory to the following: <example_design_directory>/sim/ed_sim/synopsys/vcs

Non-interactive Mode

To run a simulation in non-interactive mode, proceed as follows:

  1. Type the following command on a single line:
    sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="-xlrm\ uniq_prior_final" USER_DEFINED_SIM_OPTIONS=""

The system performs the simulation and displays the following message upon successful completion:

Simulation stopped due to successful completion!

Interactive Mode

To run a simulation in interactive mode, proceed as described below.

Note: If you have already generated a simv executable in non-interactive mode, delete the simv and simv.diadir files within the vcs folder.
  1. Open the vcs_setup.sh file in an editor and add a -debug_access+r command, as highlighted in the figure below:
  2. Compile the design example by typing:
    sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="-xlrm\ uniq_prior_final" SKIP_SIM=1
  3. To start the simulation in interactive mode, type the following command in the terminal console:
    simv -gui&