External Memory Interfaces (EMIF) IP User Guide: Agilex™ 5 FPGAs and SoCs

ID 817467
Date 4/01/2024
Public
Document Table of Contents

2.1. Agilex™ 5 EMIF IP Protocol and Feature Support

  • The Agilex™ 5 FPGA EMIF IP supports DDR4 with hard memory controller and hard PHY.
  • The Agilex™ 5 FPGA EMIF IP supports LPDDR4 with hard memory controller and hard PHY.
  • The Agilex™ 5 FPGA EMIF IP supports LPDDR5 with hard memory controller and hard PHY.