Intel® Quartus® Prime Standard Edition User Guide: Debug Tools

ID 683552
Date 9/24/2018
Public
Document Table of Contents

3.13. Scripting API Reference

The Intel® Quartus® Prime software provides an API to access Transceiver Toolkit functions using Tcl commands, and script tasks such as linking device resources and identifying high-speed serial links.
To save the project setup in a Tcl script for use in subsequent testing sessions:
  1. Set up and define links that describe the entire physical system.
  2. Click Save Tcl Script to save the setup for future use.

You can also build a custom test routine script.

To run the scripts, double-click the script name in the System Explorer scripts folder.

To view a list of the available Tcl command descriptions from the Tcl Console window, including example usage:

  1. In the Tcl console, type help help. The Console displays all Transceiver Toolkit Tcl commands.
  2. Type help <command name>. The Console displays the command description.