Intel® Quartus® Prime Standard Edition User Guide: Debug Tools

ID 683552
Date 9/24/2018
Public
Document Table of Contents

5.12.1. Signal Tap Command-Line Options

You can use the following options with the quartus_stp executable:

Table 67.  quartus_stp Command-Line Options
Option Usage Description
--stp_file <stp_filename> Required Specifies the name of the .stp file.
--enable Optional

Sets the ENABLE_SIGNALTAP option to ON in the project's .qsf file, so the Signal Tap Logic Analyzer runs in the next compilation.

If you omit this option, the Intel® Quartus® Prime software uses the current value of ENABLE_SIGNALTAP in the .qsf file.

Writes subsequent Signal Tap assignments to the .stp that appears in the .qsf file. If the .qsf file does not specify a .stp file, you must use the --stp_file option.

--disable Optional

Sets the ENABLE_SIGNALTAP option to OFF in the project's .qsf file, so the Signal Tap Logic Analyzer does not in the next compilation.

If you omit the --disable option, the Intel® Quartus® Prime software uses the current value of ENABLE_SIGNALTAP in the .qsf file.
--create_signaltap_hdl_file Optional Creates an .stp file representing the Signal Tap instance. You must use the --stp_file option to create an .stp.

Equivalent to the Create Signal Tap File from Design Instances command in the Intel® Quartus® Prime software

.

Examples

The first example illustrates how to compile a design with the Signal Tap Logic Analyzer at the command line.

quartus_stp filtref --stp_file stp1.stp --enable
quartus_map filtref --source=filtref.bdf --family=CYCLONE
quartus_fit filtref --part=EP1C12Q240C6 --fmax=80MHz --tsu=8ns
quartus_asm filtref

The quartus_stp --stp_file stp1.stp --enable command creates the QSF variable and instructs the Intel® Quartus® Prime software to compile the stp1.stp file with your design. The --enable option must be applied for the Signal Tap Logic Analyzer to compile into your design.

The following example creates a new .stp after building the Signal Tap Logic Analyzer instance with the IP Catalog.

quartus_stp filtref --create_signaltap_hdl_file --stp_file stp1.stp