Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

7.2.28.28. set_enable_c_write_burstcount()

Prototype:

set_enable_c_write_burstcount()

Arguments:

Verilog HDL: Boolean

VHDL: N.A.

Returns:

void

Description:

Enables a coverage group to test different sizes of burstcount during write burst transfers. It tests all possible values of burstcount. Disabled when either burst transfers or writes are not supported, or the maximum burst is less than 1.
Language support: Verilog HDL