Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

16.2. Nios II Custom Instruction API

event_instruction_start()

Prototype:

event_instruction_start()

Arguments:

Verilog HDL: N.A.

VHDL: bfm_id

Returns:

void

Description:

Indicates the instruction to be driven to the interface.
Language support:

VHDL