F-Tile 25G Ethernet Intel® FPGA IP Design Example User Guide

ID 750200
Date 11/29/2023
Public
Document Table of Contents

3.4.2. Simulation Design Example Components

Table 10.  F-Tile 25G Ethernet Single Channel Design Example with Dynamic Reconfiguration Testbench File Descriptions
File Name Description
Testbench and Simulation Files
basic_avl_tb_top.v Top-level testbench file. The testbench instantiates the DUT, performs Avalon® memory-mapped configuration on design components and client logic, and sends and receives packet to or from the F-Tile 25G Ethernet Intel FPGA IP.
Testbench Scripts
run_vsim.do The ModelSim script to run the testbench.
run_vcs.sh The Synopsys VCS* script to run the testbench.
run_xcelium.sh The Cadence Xcelium* script to run the testbench.