AN 797: Partially Reconfiguring a Design: on Intel® Arria® 10 GX FPGA Development Board

ID 683497
Date 12/11/2020
Public

Adding a New Persona to the Design

After fully compiling your base revisions, you can still add new personas and individually compile these personas.

For example, to define a new persona that keeps one LED on and the other LED off:

  1. Copy blinking_led_empty.sv to blinking_led_wink.sv.
  2. In the blinking_led_wink.sv file, modify the assignment, assign led_three_on = 1'b0; to assign led_three_on = 1'b1;.
  3. Create a new implementation revision, blinking_led_wink, as Creating Implementation Revisions describes.
    Note: The blinking_led_wink revision must use the blinking_led_wink.sv file, and use the blinking_led_wink in the entity rebinding assignment.
  4. Compile the revision by clicking Processing > Start Compilation.