External Memory Interfaces (EMIF) IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 817394
Date 4/01/2024
Public
Document Table of Contents

2. Design Example Quick Start Guide for External Memory Interfaces Agilex™ 5 FPGA IP

An automated design example flow is available for Agilex™ 5 external memory interfaces.

The Generate Example Designs button on the Example Designs tab allows you to specify and generate the synthesis and simulation design example file sets which you can use to validate your EMIF IP.

You can generate a design example that matches the Intel FPGA development kit, or for any EMIF IP that you generate. You can use the design example to assist your evaluation, or as a starting point for your own system.

Figure 1. General Design Example Workflows