Quartus® Prime Pro Edition User Guide: Timing Analyzer

ID 683243
Date 4/01/2024
Public
Document Table of Contents

2.3.5.2.5. Importing a Design Partition with Entity-bound Constraints

Importing a partition with entity-bound SDC files requires that you define a black box wrapper for the entity in your design. This wrapper declares a partition in which the data from the imported partition is utilized. Consider the following example with an entity named fifo_imported:

Figure 83. Example of an Entity Named fifo_imported


To import a design partition with entity-bound constraints, perform these steps:

  1. To run design synthesis, click Analysis & Synthesis on the Compilation Dashboard.
  2. To create a new partition within the wrapper entity, click Assignments > Design Partitions Window and specify the following options on the Assignments View tab:
    1. Specify the Partition Name and the Hierarchy Path of the entity instance.
    2. Specify Default for the partition Type.
    3. Specify synthesized in the Preservation Level column.
    4. Specify the .qdb file from the previous project as the Partition Database File
      Figure 84.  Assignments View of the Design Partition Dialog
  3. To run a full compilation, click Compile Design on the Compilation Dashboard. The Timing Analyzer appears automatically following successful compilation.
  4. Verify the correct application of the entity-bound SDC file assignment in the SDC File List report.
    Figure 85. SDC File List Report in the Timing Analyzer