Timing Analyzer Set False Path Command

author-image

By

You can use the set_false_path command to specify your design's false paths (i.e., paths that can be ignored during timing analysis). The following list shows the available options for the set_false_path command:

set_false_path
     [-from <from list>]
     [-to <to list>]
     [-thru <thru list>]

Table 1 Describes the options for the set_false_path command.

When the objects are timing nodes, the false path only applies to the path between the two nodes. When the object is a clock, the false path applies to all paths where the source node (for -from) or destination node (for -to) is clocked by the clock.