Triple-Speed Ethernet Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 813899
Date 4/01/2024
Public

1. Quick Start Guide

Updated for:
Intel® Quartus® Prime Design Suite 24.1
IP Version 4.0.0

The Triple-Speed Ethernet Intel® FPGA IP for Agilex™ 5 provides the capability of generating design examples for selected configurations, which allows you to:

  • Compile the design to get an estimate of the IP area usage and timing.
  • Simulate the design to verify the IP functionality through simulation.
When you generate a design example, the parameter editor automatically creates the files necessary to simulate and compile the design.
Figure 1. Development Stages for the Design Example